From patchwork Thu Apr 1 14:09:55 2021 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: Jonathan Cameron X-Patchwork-Id: 413649 Delivered-To: patch@linaro.org Received: by 2002:a02:8562:0:0:0:0:0 with SMTP id g89csp773884jai; Thu, 1 Apr 2021 10:52:59 -0700 (PDT) X-Google-Smtp-Source: ABdhPJxECdpvmmfqVr0GRA0iCCMKJuSitnG3ktDr9Nd5HoOex3xuPwWsqpMmSMyLehkb4oLEkn95 X-Received: by 2002:a17:906:2612:: with SMTP id h18mr10249006ejc.309.1617299579046; Thu, 01 Apr 2021 10:52:59 -0700 (PDT) ARC-Seal: i=1; a=rsa-sha256; t=1617299579; cv=none; d=google.com; s=arc-20160816; b=rScJ4J/A6IKkWqw616gd7juE+3r6fA284ioCTAnf79nzyM9YzcWtIER3ODOj7sDDs8 4OIpcwgktWH8VVCwFB6opB14FCPNKycyZgeFAN5KVndRUT3xsNbgQ1yXOhGrdYCA9EE+ emRm/y4nVUz4AOl6G8kzoVovh8f42dMCaJ6KvFPLMy2YVgdAQco6ttiIfQD8uJJ8KnQF YknDq3JSK6HXbI3Q4JiB3SsAI0oPMM+VnGBoGdm3B6xKRJkA473VwBkpdgH3dEB+peDX 04iJfaIKygYT1spdJd3kFNx+0iPkFJfzNkfGIFmgDbhRwzrmSOqIXMYvu3llr9SAeum9 +nEA== ARC-Message-Signature: i=1; a=rsa-sha256; c=relaxed/relaxed; d=google.com; s=arc-20160816; h=list-id:precedence:content-transfer-encoding:mime-version :references:in-reply-to:message-id:date:subject:cc:to:from :dkim-signature; bh=bIMyPO9J4eHxS63XMgeQeCGX4hNv0iSLslADq4ue5As=; b=dIqitrimi103oXtEfMgEuGRiA0RjqfGRaI4R39lS9wKIKN1DosO03J0r0Jib4x7klM Ghc52ySXpRFr09IAXwkvo0BuNzlONt5Xs2cfQawB/m0e3NLri+2FgDJPE1xIWNFWl1gX kIq0erRkzb17jbNa87TS1OT9sA9KlQ993ViKaUmi5KMsrsS13lKE4BjPbWI4L7NrNWg8 HBLoScJQmnQ7rehgd77oSdpDfj5XO3rI9mhvPFoBq+/i/XheExIgzd1F29Li1Dq4Ay5A R8Yrq8A/gKpPbkY8RUwkzrlUO9wNAFPUi/uXBXKo8WFME4RyFD77ITusIuDaibBeQDD/ 2flA== ARC-Authentication-Results: i=1; mx.google.com; dkim=pass header.i=@kernel.org header.s=k20201202 header.b="cpA/aNK2"; spf=pass (google.com: domain of devicetree-owner@vger.kernel.org designates 23.128.96.18 as permitted sender) smtp.mailfrom=devicetree-owner@vger.kernel.org; dmarc=pass (p=NONE sp=NONE dis=NONE) header.from=kernel.org Return-Path: Received: from vger.kernel.org (vger.kernel.org. [23.128.96.18]) by mx.google.com with ESMTP id hp13si4772152ejc.210.2021.04.01.10.52.58; Thu, 01 Apr 2021 10:52:59 -0700 (PDT) Received-SPF: pass (google.com: domain of devicetree-owner@vger.kernel.org designates 23.128.96.18 as permitted sender) client-ip=23.128.96.18; Authentication-Results: mx.google.com; dkim=pass header.i=@kernel.org header.s=k20201202 header.b="cpA/aNK2"; spf=pass (google.com: domain of devicetree-owner@vger.kernel.org designates 23.128.96.18 as permitted sender) smtp.mailfrom=devicetree-owner@vger.kernel.org; dmarc=pass (p=NONE sp=NONE dis=NONE) header.from=kernel.org Received: (majordomo@vger.kernel.org) by vger.kernel.org via listexpand id S235101AbhDARws (ORCPT + 6 others); Thu, 1 Apr 2021 13:52:48 -0400 Received: from mail.kernel.org ([198.145.29.99]:50704 "EHLO mail.kernel.org" rhost-flags-OK-OK-OK-OK) by vger.kernel.org with ESMTP id S237002AbhDARuA (ORCPT ); Thu, 1 Apr 2021 13:50:00 -0400 Received: by mail.kernel.org (Postfix) with ESMTPSA id 1DCD26128B; Thu, 1 Apr 2021 14:11:51 +0000 (UTC) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/simple; d=kernel.org; s=k20201202; t=1617286314; bh=r3iVFQU8xfyDnlDbW+aSZlPQ2NMUf9ylsDKd8eRxUss=; h=From:To:Cc:Subject:Date:In-Reply-To:References:From; b=cpA/aNK2R7SoTeUsc7Fq9ZLM5V2D0iSAkQnd2TJKNkrtLSB7b3yzypJ9B97vxiwbg H1zOCnQKGQXuEpTcTEI6YQYJaQilmhDZFTc+vyCrMkZPdtIbP30JbCkQV/5rR7jFUc dmt14riH5GNUCbN173wjTdo7Z0gQOifhcGg+lSpAfi0HDQzTpyALmCMOa3Q2UaU1Tp oqEq++Kv0pZTmeYk0rx3ACvSv+rQ/epFGBKU8pKL7/Zyl/xkgFcOucFohRFOhzy/hl TFJieaMxY4dM2A4gOelUAyjm/bQwyEoNSINBevfovliViNIfNdxc7JcQlp/1s7hV3S 6BSnBben9tDNg== From: Jonathan Cameron To: linux-iio@vger.kernel.org, Rob Herring Cc: devicetree@vger.kernel.org, Jonathan Cameron , Sean Nyekjaer Subject: [PATCH 1/2] dt-bindings:iio:dac:ad5755: txt to yaml format conversion. Date: Thu, 1 Apr 2021 15:09:55 +0100 Message-Id: <20210401140956.224084-2-jic23@kernel.org> X-Mailer: git-send-email 2.31.1 In-Reply-To: <20210401140956.224084-1-jic23@kernel.org> References: <20210401140956.224084-1-jic23@kernel.org> MIME-Version: 1.0 Precedence: bulk List-ID: X-Mailing-List: devicetree@vger.kernel.org From: Jonathan Cameron Straight forward conversion. Only fiddly bit is the XOR of spi-cpol and spi-cpha. Signed-off-by: Jonathan Cameron Cc: Sean Nyekjaer Link: https://lore.kernel.org/r/20201031184854.745828-40-jic23@kernel.org --- .../devicetree/bindings/iio/dac/ad5755.txt | 124 ------------- .../bindings/iio/dac/adi,ad5755.yaml | 171 ++++++++++++++++++ 2 files changed, 171 insertions(+), 124 deletions(-) -- 2.31.1 diff --git a/Documentation/devicetree/bindings/iio/dac/ad5755.txt b/Documentation/devicetree/bindings/iio/dac/ad5755.txt deleted file mode 100644 index 502e1e55adbd..000000000000 --- a/Documentation/devicetree/bindings/iio/dac/ad5755.txt +++ /dev/null @@ -1,124 +0,0 @@ -* Analog Devices AD5755 IIO Multi-Channel DAC Linux Driver - -Required properties: - - compatible: Has to contain one of the following: - adi,ad5755 - adi,ad5755-1 - adi,ad5757 - adi,ad5735 - adi,ad5737 - - - reg: spi chip select number for the device - - spi-cpha or spi-cpol: is the only modes that is supported - -Recommended properties: - - spi-max-frequency: Definition as per - Documentation/devicetree/bindings/spi/spi-bus.txt - -Optional properties: -See include/dt-bindings/iio/ad5755.h - - adi,ext-dc-dc-compenstation-resistor: boolean set if the hardware have an - external resistor and thereby bypasses - the internal compensation resistor. - - adi,dc-dc-phase: - Valid values for DC DC Phase control is: - 0: All dc-to-dc converters clock on the same edge. - 1: Channel A and Channel B clock on the same edge, - Channel C and Channel D clock on opposite edges. - 2: Channel A and Channel C clock on the same edge, - Channel B and Channel D clock on opposite edges. - 3: Channel A, Channel B, Channel C, and Channel D - clock 90 degrees out of phase from each other. - - adi,dc-dc-freq-hz: - Valid values for DC DC frequency is [Hz]: - 250000 - 410000 - 650000 - - adi,dc-dc-max-microvolt: - Valid values for the maximum allowed Vboost voltage supplied by - the dc-to-dc converter is: - 23000000 - 24500000 - 27000000 - 29500000 - -Optional for every channel: - - adi,mode: - Valid values for DAC modes is: - 0: 0 V to 5 V voltage range. - 1: 0 V to 10 V voltage range. - 2: Plus minus 5 V voltage range. - 3: Plus minus 10 V voltage range. - 4: 4 mA to 20 mA current range. - 5: 0 mA to 20 mA current range. - 6: 0 mA to 24 mA current range. - - adi,ext-current-sense-resistor: boolean set if the hardware a external - current sense resistor. - - adi,enable-voltage-overrange: boolean enable voltage overrange - - adi,slew: Array of slewrate settings should contain 3 fields: - 1: Should be either 0 or 1 in order to enable or disable slewrate. - 2: Slew rate settings: - Valid values for the slew rate update frequency: - 64000 - 32000 - 16000 - 8000 - 4000 - 2000 - 1000 - 500 - 250 - 125 - 64 - 32 - 16 - 8 - 4 - 0 - 3: Slew step size: - Valid values for the step size LSBs: - 1 - 2 - 4 - 16 - 32 - 64 - 128 - 256 - -Example: -dac@0 { - #address-cells = <1>; - #size-cells = <0>; - compatible = "adi,ad5755"; - reg = <0>; - spi-max-frequency = <1000000>; - spi-cpha; - adi,dc-dc-phase = <0>; - adi,dc-dc-freq-hz = <410000>; - adi,dc-dc-max-microvolt = <23000000>; - channel@0 { - reg = <0>; - adi,mode = <4>; - adi,ext-current-sense-resistor; - adi,slew = <0 64000 1>; - }; - channel@1 { - reg = <1>; - adi,mode = <4>; - adi,ext-current-sense-resistor; - adi,slew = <0 64000 1>; - }; - channel@2 { - reg = <2>; - adi,mode = <4>; - adi,ext-current-sense-resistor; - adi,slew = <0 64000 1>; - }; - channel@3 { - reg = <3>; - adi,mode = <4>; - adi,ext-current-sense-resistor; - adi,slew = <0 64000 1>; - }; -}; diff --git a/Documentation/devicetree/bindings/iio/dac/adi,ad5755.yaml b/Documentation/devicetree/bindings/iio/dac/adi,ad5755.yaml new file mode 100644 index 000000000000..5d6a87f28b12 --- /dev/null +++ b/Documentation/devicetree/bindings/iio/dac/adi,ad5755.yaml @@ -0,0 +1,171 @@ +# SPDX-License-Identifier: (GPL-2.0 OR BSD-2-Clause) +%YAML 1.2 +--- +$id: http://devicetree.org/schemas/iio/dac/adi,ad5755.yaml# +$schema: http://devicetree.org/meta-schemas/core.yaml# + +title: Analog Devices AD5755 Multi-Channel DAC + +maintainers: + - Sean Nyekjaer + +properties: + compatible: + enum: + - adi,ad5755 + - adi,ad5755-1 + - adi,ad5757 + - adi,ad5735 + - adi,ad5737 + + reg: + maxItems: 1 + + spi-cpha: + description: Either this or spi-cpol but not both. + spi-cpol: true + + spi-max-frequency: true + + adi,ext-dc-dc-compenstation-resistor: + $ref: /schemas/types.yaml#/definitions/flag + description: + Set if the hardware have an external resistor and thereby bypasses + the internal compensation resistor. + + adi,dc-dc-phase: + $ref: /schemas/types.yaml#/definitions/uint32 + enum: [0, 1, 2, 3] + description: | + Valid values for DC DC Phase control is: + 0: All dc-to-dc converters clock on the same edge. + 1: Channel A and Channel B clock on the same edge, + Channel C and Channel D clock on opposite edges. + 2: Channel A and Channel C clock on the same edge, + Channel B and Channel D clock on opposite edges. + 3: Channel A, Channel B, Channel C, and Channel D + clock 90 degrees out of phase from each other. + + adi,dc-dc-freq-hz: + $ref: /schemas/types.yaml#/definitions/uint32 + enum: [250000, 410000, 650000] + + adi,dc-dc-max-microvolt: + $ref: /schemas/types.yaml#/definitions/uint32 + description: + Maximum allowed Vboost voltage supplied by the dc-to-dc converter. + enum: [23000000, 24500000, 27000000, 29500000] + + "#address-cells": + const: 1 + + "#size-cells": + const: 0 + + "#io-channel-cells": + const: 1 + +required: + - compatible + - reg + +additionalProperties: false + +patternProperties: + "^(channel@)[0-7]$": + type: object + description: Child node to describe a channel + properties: + reg: + maxItems: 1 + + adi,mode: + $ref: /schemas/types.yaml#/definitions/uint32 + minimum: 0 + maximum: 6 + description: | + Valid values for DAC modes is: + 0: 0 V to 5 V voltage range. + 1: 0 V to 10 V voltage range. + 2: Plus minus 5 V voltage range. + 3: Plus minus 10 V voltage range. + 4: 4 mA to 20 mA current range. + 5: 0 mA to 20 mA current range. + 6: 0 mA to 24 mA current range. + + adi,ext-current-sense-resistor: + $ref: /schemas/types.yaml#/definitions/flag + description: + Set if the hardware has an external current sense resistor + + adi,enable-voltage-overrange: + $ref: /schemas/types.yaml#/definitions/flag + description: Enable voltage overrange + + adi,slew: + $ref: /schemas/types.yaml#/definitions/uint32-array + description: | + Array of slewrate settings should contain 3 fields: + 1: Should be either 0 or 1 in order to enable or disable slewrate. + 2: Slew rate update frequency + 3: Slew step size + items: + - enum: [0, 1] + - enum: [64000, 32000, 16000, 8000, 4000, 2000, 1000, 500, 250, 125, 64, 32, 16, 8, 4, 0] + - enum: [1, 2, 4, 16, 32, 64, 128, 256] + + required: + - reg + + additionalProperties: false + +oneOf: + - required: + - spi-cpha + - required: + - spi-cpol + +examples: + - | + #include + spi { + #address-cells = <1>; + #size-cells = <0>; + + dac@0 { + #address-cells = <1>; + #size-cells = <0>; + compatible = "adi,ad5755"; + reg = <0>; + spi-max-frequency = <1000000>; + spi-cpha; + adi,dc-dc-phase = <0>; + adi,dc-dc-freq-hz = <410000>; + adi,dc-dc-max-microvolt = <23000000>; + channel@0 { + reg = <0>; + adi,mode = <4>; + adi,ext-current-sense-resistor; + adi,slew = <0 64000 1>; + }; + channel@1 { + reg = <1>; + adi,mode = <4>; + adi,ext-current-sense-resistor; + adi,slew = <0 64000 1>; + }; + channel@2 { + reg = <2>; + adi,mode = <4>; + adi,ext-current-sense-resistor; + adi,slew = <0 64000 1>; + }; + channel@3 { + reg = <3>; + adi,mode = <4>; + adi,ext-current-sense-resistor; + adi,slew = <0 64000 1>; + }; + }; + }; +... From patchwork Thu Apr 1 14:09:56 2021 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: Jonathan Cameron X-Patchwork-Id: 413648 Delivered-To: patch@linaro.org Received: by 2002:a02:8562:0:0:0:0:0 with SMTP id g89csp773850jai; Thu, 1 Apr 2021 10:52:56 -0700 (PDT) X-Google-Smtp-Source: ABdhPJxNU/KG4QXYDVv+2etu9geoi2kYTy2o64PFqah52cWmx7QV0GIagnwHx4I9fWAKAWrq+4BB X-Received: by 2002:a17:906:3c46:: with SMTP id i6mr10629150ejg.80.1617299576431; Thu, 01 Apr 2021 10:52:56 -0700 (PDT) ARC-Seal: i=1; a=rsa-sha256; t=1617299576; cv=none; d=google.com; s=arc-20160816; b=VRgXvmJKpOgFoRHaPCxUP+kMXkfJ8TbXuH+2eq2kHPVY+GHteOnTVwWJ7V7Yw62pvJ GOGBQaqNWtKfwehzPsh3cIn9pJ9AzvVS26skR6qRt6TO58Q/40UAeWb+oH02UfhBooLC lgWXORK7kDooIU6x8ShrmShgG/MFgACFkB4iljjP91W6FdOfTn8MgV/TvD13jnKIA0OW XfdXoxwEN0qGjqhbiIxGKb8YI3gSTJ/KxCyIqzC80aLfOOAKBNKBNX20J/u8Ske92tVC +UfP0I5FHXyo9Qp1Nq783Y3eMmXOrCHe/TzAh+fhjhfKqrYBcL+W+Eit91gLBMsXFiCa 9scw== ARC-Message-Signature: i=1; a=rsa-sha256; c=relaxed/relaxed; d=google.com; s=arc-20160816; h=list-id:precedence:content-transfer-encoding:mime-version :references:in-reply-to:message-id:date:subject:cc:to:from :dkim-signature; bh=x09zqYubmSGmjXgLfkgPIJ7XY53ENZZ5AiuJB0Z/HFk=; b=jquBoA8JYjJbdMaoIFIjn1Ga0ARet11jwXX7M3+yR5Phg4URkdiqiS19tOx7LjLdP4 UoyIzoJlIriCebE4xurcDB/ioEUDvd0tA7KpFnAeKyYVNWm6HzoYv0sMCUA+VU4cI97/ PDh1GXSN6SLB+nsWl1bbKSwT1EPppWw7oDiXRq6gTD9hgtr1RWvPiSRMMURFsBcHbomS bQG6tfBaKJGYHHkE4fimcB2zDPiXEeQO4jrBrf1azveGI3a9LcrU0tbuKSHYUKCruMnG T1naOb/7NMTGFUd2sjQe60oc9CArNbfXjsJCLPlQYrnRUCM7kmbzLR/ifaXlqWzG1uHx gPMg== ARC-Authentication-Results: i=1; mx.google.com; dkim=pass header.i=@kernel.org header.s=k20201202 header.b=PZp0eDC+; spf=pass (google.com: domain of devicetree-owner@vger.kernel.org designates 23.128.96.18 as permitted sender) smtp.mailfrom=devicetree-owner@vger.kernel.org; dmarc=pass (p=NONE sp=NONE dis=NONE) header.from=kernel.org Return-Path: Received: from vger.kernel.org (vger.kernel.org. [23.128.96.18]) by mx.google.com with ESMTP id hp13si4772152ejc.210.2021.04.01.10.52.56; Thu, 01 Apr 2021 10:52:56 -0700 (PDT) Received-SPF: pass (google.com: domain of devicetree-owner@vger.kernel.org designates 23.128.96.18 as permitted sender) client-ip=23.128.96.18; Authentication-Results: mx.google.com; dkim=pass header.i=@kernel.org header.s=k20201202 header.b=PZp0eDC+; spf=pass (google.com: domain of devicetree-owner@vger.kernel.org designates 23.128.96.18 as permitted sender) smtp.mailfrom=devicetree-owner@vger.kernel.org; dmarc=pass (p=NONE sp=NONE dis=NONE) header.from=kernel.org Received: (majordomo@vger.kernel.org) by vger.kernel.org via listexpand id S235050AbhDARwq (ORCPT + 6 others); Thu, 1 Apr 2021 13:52:46 -0400 Received: from mail.kernel.org ([198.145.29.99]:48906 "EHLO mail.kernel.org" rhost-flags-OK-OK-OK-OK) by vger.kernel.org with ESMTP id S237000AbhDARuA (ORCPT ); Thu, 1 Apr 2021 13:50:00 -0400 Received: by mail.kernel.org (Postfix) with ESMTPSA id D47D46128C; Thu, 1 Apr 2021 14:11:54 +0000 (UTC) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/simple; d=kernel.org; s=k20201202; t=1617286316; bh=BB9hAFKo+2v0Bi2crV5qOykg9CQQiAJV0ZePZMybHXI=; h=From:To:Cc:Subject:Date:In-Reply-To:References:From; b=PZp0eDC+B6J5Y/KoopU3KX0fE2iNUUtyF0cNc2wOdUHbZMMxFsOQH9SvtNqpQj/eH EiPr/Yg/pg1IDouLScxCEOdVFVh2ivVSM764Bng6PUPZkfTBABJ7qJsw+gHj0lYeqz 7cVCSZOBiiXZvFguW2B9oBql2TEd4Skcb9tUYUlw5OSdF0sAnZZmpHbZsTGHVXmkQL 8KcMv1BPCu0za/nZas/m2wLljWjtvMcLu/PDX62f+fc3VaotF0GBthwwYDa7oOfWDh VCJMdecayASnpTD2MUPHGTYXiI2vj2NYUJ5mMfm32lWCmv0c5HOxRc1Uf+qIM51nFp nbcnJ7oHZQkTw== From: Jonathan Cameron To: linux-iio@vger.kernel.org, Rob Herring Cc: devicetree@vger.kernel.org, Jonathan Cameron , Lukas Wunner Subject: [PATCH 2/2] dt-bindings:iio:dac:ti,dac082s085 yaml conversion Date: Thu, 1 Apr 2021 15:09:56 +0100 Message-Id: <20210401140956.224084-3-jic23@kernel.org> X-Mailer: git-send-email 2.31.1 In-Reply-To: <20210401140956.224084-1-jic23@kernel.org> References: <20210401140956.224084-1-jic23@kernel.org> MIME-Version: 1.0 Precedence: bulk List-ID: X-Mailing-List: devicetree@vger.kernel.org From: Jonathan Cameron Fairly simple conversion with the exception of the XOR between spi-cpha and spi-cpol. Signed-off-by: Jonathan Cameron Cc: Lukas Wunner Link: https://lore.kernel.org/r/20201031134110.724233-17-jic23@kernel.org --- .../bindings/iio/dac/ti,dac082s085.yaml | 72 +++++++++++++++++++ .../bindings/iio/dac/ti-dac082s085.txt | 34 --------- 2 files changed, 72 insertions(+), 34 deletions(-) -- 2.31.1 Reviewed-by: Rob Herring diff --git a/Documentation/devicetree/bindings/iio/dac/ti,dac082s085.yaml b/Documentation/devicetree/bindings/iio/dac/ti,dac082s085.yaml new file mode 100644 index 000000000000..b0157050f1ee --- /dev/null +++ b/Documentation/devicetree/bindings/iio/dac/ti,dac082s085.yaml @@ -0,0 +1,72 @@ +# SPDX-License-Identifier: (GPL-2.0 OR BSD-2-Clause) +%YAML 1.2 +--- +$id: http://devicetree.org/schemas/iio/dac/ti,dac082s085.yaml# +$schema: http://devicetree.org/meta-schemas/core.yaml# + +title: Texas Instruments DAC082s085 and similar DACs + +description: + A family of Texas Instruments 8/10/12-bit 2/4-channel DACs + +maintainers: + - Lukas Wunner + +properties: + compatible: + enum: + - ti,dac082s085 + - ti,dac102s085 + - ti,dac122s085 + - ti,dac084s085 + - ti,dac104s085 + - ti,dac124s085 + + reg: + maxItems: 1 + + spi-cpha: true + spi-cpol: + description: + Must be either spi-cpha, or spi-cpol but not both. + + vref-supply: + description: Needed to provide output scaling. + + spi-max-frequency: true + +required: + - compatible + - reg + - vref-supply + +additionalProperties: false + +oneOf: + - required: + - spi-cpha + - required: + - spi-cpol + +examples: + - | + vref_2v5_reg: regulator-vref { + compatible = "regulator-fixed"; + regulator-name = "2v5"; + regulator-min-microvolt = <2500000>; + regulator-max-microvolt = <2500000>; + regulator-always-on; + }; + spi { + #address-cells = <1>; + #size-cells = <0>; + + dac@0 { + compatible = "ti,dac082s085"; + reg = <0>; + spi-max-frequency = <40000000>; + spi-cpol; + vref-supply = <&vref_2v5_reg>; + }; + }; +... diff --git a/Documentation/devicetree/bindings/iio/dac/ti-dac082s085.txt b/Documentation/devicetree/bindings/iio/dac/ti-dac082s085.txt deleted file mode 100644 index 9cb0e10df704..000000000000 --- a/Documentation/devicetree/bindings/iio/dac/ti-dac082s085.txt +++ /dev/null @@ -1,34 +0,0 @@ -Texas Instruments 8/10/12-bit 2/4-channel DAC driver - -Required properties: - - compatible: Must be one of: - "ti,dac082s085" - "ti,dac102s085" - "ti,dac122s085" - "ti,dac084s085" - "ti,dac104s085" - "ti,dac124s085" - - reg: Chip select number. - - spi-cpha, spi-cpol: SPI mode (0,1) or (1,0) must be used, so specify - either spi-cpha or spi-cpol (but not both). - - vref-supply: Phandle to the external reference voltage supply. - -For other required and optional properties of SPI slave nodes please refer to -../../spi/spi-bus.txt. - -Example: - vref_2v5_reg: regulator-vref { - compatible = "regulator-fixed"; - regulator-name = "2v5"; - regulator-min-microvolt = <2500000>; - regulator-max-microvolt = <2500000>; - regulator-always-on; - }; - - dac@0 { - compatible = "ti,dac082s085"; - reg = <0>; - spi-max-frequency = <40000000>; - spi-cpol; - vref-supply = <&vref_2v5_reg>; - };